In Situ Infrared Spectroscopy Study of Atomic Layer Deposition of High-kappa Metal Oxide and Metal on Passivated Silicon Surfaces

In Situ Infrared Spectroscopy Study of Atomic Layer Deposition of High-kappa Metal Oxide and Metal on Passivated Silicon Surfaces
Author :
Publisher :
Total Pages : 199
Release :
ISBN-10 : OCLC:430652334
ISBN-13 :
Rating : 4/5 ( Downloads)

Book Synopsis In Situ Infrared Spectroscopy Study of Atomic Layer Deposition of High-kappa Metal Oxide and Metal on Passivated Silicon Surfaces by :

Download or read book In Situ Infrared Spectroscopy Study of Atomic Layer Deposition of High-kappa Metal Oxide and Metal on Passivated Silicon Surfaces written by and published by . This book was released on 2008 with total page 199 pages. Available in PDF, EPUB and Kindle. Book excerpt: Atomic layer deposition (ALD) is a novel and promising film deposition method for microelectronics and many other areas with documented commercial success. Notable advantages include accurate thickness control and high conformality, all of which are particularly important for deep trenches, porous materials and nanoparticles. The key elements of ALD including starting surfaces and precursors are investigated with in situ Fourier transform infrared spectroscopy, using a variety of starting surfaces: hydrogen-terminated Si (H/Si), oxidized Si, nitrided Si, and self-assembled monolayers (SAMs) grafted on H/Si surfaces. In particular, the formation of nitrided surfaces using thermal NH3 reaction with flat and vicinal H/Si(111) is studied and a mechanistic understanding is achieved with the aid of density functional theory calculation. An unexpected NH incorporated bridging structure is found at the dihydride step edge. The properties and stability of methyl and carboxylic groups terminated alkene-based SAMs grafted to H/Si(111) surface via direct Si-C bonds are also addressed. The carboxylic groups terminated SAM can be grafted without formation of interfacial SiO2. Moreover, the use of SiNx and SAM successfully minimizes the interfacial SiO2 during ALD process. With a thorough understanding of the starting surfaces, the nature of ALD grown high-kappa metal oxides and metal is studied. Water- and ozone-based ALD of HfO2 and Al2O3 are investigated mechanistically. Unexpected intermediate species and reaction pathways are found to depend on ozone partial pressure, such as formate intermediate for Al2O3 deposition. La2O3 and Cu deposited by novel precursors, La(iPr-MeAMD)3/D2O and [Cu(sBu-amd)]2/H2 respectively, are explored in many aspects such as the nature of precursor gas phase, the ALD temperature window, the film properties, and so on. In all cases, a mechanistic picture of the surface interaction and film growth is unraveled using infrared spectroscopy and other complementary techniques, such as Rutherford back scattering spectroscopy and X-ray photoelectron spectroscopy. Gas phase studies show that the two precursors are both readily hydrolyzed and highly reactive. ALD-deposited La2O3 films are of poor quality due to the hygroscopic nature of La2O3, and Cu diffusion and agglomeration are inferred from the evolution of IR vibrational modes.


In Situ Infrared Spectroscopy Study of Atomic Layer Deposition of High-kappa Metal Oxide and Metal on Passivated Silicon Surfaces Related Books

In Situ Infrared Spectroscopy Study of Atomic Layer Deposition of High-kappa Metal Oxide and Metal on Passivated Silicon Surfaces
Language: en
Pages: 199
Authors:
Categories: Silicon
Type: BOOK - Published: 2008 - Publisher:

DOWNLOAD EBOOK

Atomic layer deposition (ALD) is a novel and promising film deposition method for microelectronics and many other areas with documented commercial success. Nota
In-situ FTIR Study of the Mechanisms of Atomic Layer Deposition of Silicon Oxide
Language: en
Pages: 72
Authors: Luis Fabián Peña-Orduña
Categories: Atomic layer deposition
Type: BOOK - Published: 2016 - Publisher:

DOWNLOAD EBOOK

In this thesis, key aspects of the surface chemistry associated in the atomic layer deposition (ALD) of silicon oxide are discussed. Although atomic layer depos
The Chemistry of Metal CVD
Language: en
Pages: 562
Authors: Toivo T. Kodas
Categories: Technology & Engineering
Type: BOOK - Published: 2008-09-26 - Publisher: John Wiley & Sons

DOWNLOAD EBOOK

High purity, thin metal coatings have a variety of important commercial applications, for example, in the microelectronics industry, as catalysts, as protective
Infrared Spectroscopy of Adsorbed Species on the Surface of Transition Metal Oxides
Language: en
Pages: 272
Authors: Anatoli Davydov
Categories: Science
Type: BOOK - Published: 1990-10-11 - Publisher:

DOWNLOAD EBOOK

Included here is a summary of findings made by the author from infrared investigations of the surface chemistry of simple and complex oxide catalysts. The focus
Surface Chemistry and Interface Evolution During the Atomic Layer Deposition of High-k Metal Oxides on Inas(100) and Gaas(100) Surfaces
Language: en
Pages: 360
Authors: Alex J. Henegar
Categories:
Type: BOOK - Published: 2015 - Publisher:

DOWNLOAD EBOOK

Device scaling has been key for creating faster and more powerful electronic devices. Integral circuit components like the metal-oxide semiconductor field-effec